library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity CAM_Row is Generic (CAM_WIDTH : integer := 8) ; Port ( clk : in STD_LOGIC; rst : in STD_LOGIC; we : in STD_LOGIC; search_word : in STD_LOGIC_VECTOR (CAM_WIDTH-1 downto 0); dont_care_mask : in STD_LOGIC_VECTOR (CAM_WIDTH-1 downto 0); row_match : out STD_LOGIC); end CAM_Row; architecture Behavioral of CAM_Row is component /*FIX_ME*/_Cell is Port ( clk : in STD_LOGIC; rst : in STD_LOGIC; we : in STD_LOGIC; cell_search_bit : in STD_LOGIC; cell_dont_care_bit : in STD_LOGIC; cell_match_bit_in : in STD_LOGIC ; cell_match_bit_out : out STD_LOGIC); end component ; begin -- Connect the CAM cells here end Behavioral;